FPGA之旅

以FPGA为主导,分享一些FPGA知识,同时还会介绍51,32,arm linux编程语言,Qt等其他知识。

FPGA舵机驱动

0
阅读(840)

一. 简介

本例将是FPGA之旅设计的第十六例,将介绍如何驱动舵机,实现任意角度的旋转,也是一个小模块,只需要三个IO即可完成驱动,一般购买模块的时候,就已经用杜邦线引出来了,根据杜邦线的颜色区分对应IO口的功能,一般由如下三种颜色组成

  • 红色 :VCC,接3.3V - 5V

  • 棕色:GND

  • 橙色:   信号IO,用于驱动舵机的旋转


image.png



二. 舵机旋转控制

控制舵机旋转也很容易,只需要给信号IO口,一定时间的高电平即可,让舵机旋转对应的角度。本例是180°的舵机。


通过下图可以看到,输入舵机信号IO的周期为20ms,根据周期内高电平的持续时间,来控制舵机旋转的角度。即0.5ms - 2.5ms 对应着 舵机的0 - 180°。了解这个后,就可以轻松的编写Verilog代码了。



image.png



三. 代码编写

一般我们的时钟频率为50Mhz,周期为20ns。

计时20ms需要1000_000个周期数

计时0.5ms需要25_000个周期数

计时2.5ms需要12_5000个周期数


为什么在这里把这几个时间所需要的周期数列举出来呢?主要是为了方便将旋转角度0-180°转换为对应的周期数。

0-180度,对应的时间为2ms,也就是100_000个周期,也就是每一度对应着555个周期。需要注意的一点是0度,对应的是25000个周期。假设旋转的度数为X,那么所需要的周期数为 X * 555 + 25000,周期数为高电平持续的时间。弄清楚这个后,通过简单的几行代码就可以完成舵机的控制了。

代码如下,

回复 FPGA之旅设计99例之第十六例 获取如下代码

image.png

image.png


原文链接:https://mp.weixin.qq.com/s/j0fv2Lhz4myCcJ70tumDtA

微信截图_20220708161426.png

电子技术应用专栏作家  FPGA之旅