湘攸客

关于格雷码和格雷码计数器

0
阅读(3333)

由于在异步fifo设计中要用到格雷码计数器,所以先来了解一下什么是格雷码吧。

      1、什么是格雷码?

       google搜索一下不难找到答案:

       格雷码(英文:Gray Code, Grey Code,又称作葛莱码,二进制循环码)

是1880年由法国工程师Jean-Maurice-Emlle Baudot发明的一种编码,是一种绝对编码方式。

   典型格雷码是一种具有反射特性和循环特性的单步自补码,它的循环、单步特性消除了随机取数时出现重大误差的可能,它的反射、自补特性使得求反非常方便。

    格雷码属于可靠性编码,是一种错误最小化的编码方式,因为,虽然自然二进制码可以直接由数/模转换器转换成模拟信号,但在某些情况,例如从十进制的3转换为4时二进制码的每一位都要变,能使数字电路产生很大的尖峰电流脉冲。而格雷码则没有这一缺点,它在相邻位间转换时,只有一位产生变化。它大大地减少了由一个状态到下一个状态时逻辑的混淆。由于这种编码相邻的两个码组之间只有一位不同,因而在用于风向的转角位移量-数字量的转换中,当风向的转角位移量发生微小变化(而可能引起数字量发生变化时,格雷码仅改变一位,这样与其它编码同时改变两位或多位的情况相比更为可靠,即可减少出错的可能性。

      格雷码不是权重码,每一位码没有确定的大小,不能直接进行比较大小和算术运算,要经过一次码变换,变成自然二进制码,再由上位机读取

    2、格雷码的转换:  

   一般的,普通二进制码与格雷码可以按以下方法互相转换:

  二进制码->格雷码(编码):从最右边一位起,依次将每一位与左边一位异或(XOR),作为对应格雷码该位的值,最左边一位不变(相当于左边是0);

  格雷码-〉二进制码(解码):从左边第二位起,将每位与左边一位解码后的值异或,作为该位解码后的值(最左边一位依然不变)。

    3、格雷码计数器:

    这是网上找到的4bit格雷码计数器代码:1429233588677.rar。下面是仿真波形:

    经过修改后得到任意bit格雷码计数器:1431048038379.rar

以及测试激励:1431519158192.rar

这是完整的QuartusII工程:1432086691198.rar

    4、另外一种Gray码设计

    5、一句话解决自然码向格雷码转换:

    wgraynext <= wbinnext xor ('0'&wbinnext(ASize downto 1));