crazybird

【原创】modelsim仿真出问题啦?新手们要注意啦!

0
阅读(2344)

    对于已经在ISE中调用modelsim软件仿真过的工程,如果由于重新装了ISE软件(即使已经对Xilinx器件仿真库进行编译过)或者将工程拷贝到其他计算机去,然后再在ISE中调用modelsim软件对它进行仿真,就会出现下面的错误警告:

库问题

    由错误和警告信息可知,modelsim.ini文件中的库路径不存在,在工程中可以找到这个文件。现在对比一下仿真出错和仿真正确的modelsim.ini文件中的内容,如下图所示:

仿真出错

仿真正确

    通过对比,发现原来是编译库路径发生改变导致的。为了解决这个问题,这里给出一种解决办法:即将工程下的modelsim.ini文件删掉就可继续仿真了。