paradoxfx

分享:modelsim下编译xilinx库的方法

0
阅读(20621)

建立ModelSim SE 的Xilinx仿真库方法,从网上搜到的有很多,实践过其中几种,其中一种较简单的方法如下:
1)当然是要安 装ModelSim 和ISE 。

2)将ModelSim根目录下的modelsim.ini文件的只读属性去掉。
3)在ModelSim命令窗口输入:compxlib -s mti_se -arch all -l vhdl -w -lib all
按回车键即可。
说明:上述命令行中的vhdl 表示vhdl语言,如将此处改为verilog,则生成verilog的库。

4)将ModelSim根目录下的modelsim.ini文件设为只读。

完成上述步骤,重新启动modelsim,即可在libarary栏中看到已生成的Xilinx库