特权同学

勇敢的芯伴你玩转Altera FPGA连载58:按键消抖原理

0
阅读(1638)

勇敢的芯伴你玩转Altera FPGA连载58按键消抖原理

特权同学,版权所有

配套例程和更多资料下载链接:

http://pan.baidu.com/s/1i5LMUUD

1.jpg

 

         键盘分编码键盘和非编码键盘。键盘上闭合键的识别由专用的硬件编码器实现,并产生键编码号或键值的称为编码键盘,如计算机键盘。而靠软件编程来识别的称为非编码键盘。

在一般嵌入式应用中,用的最多的是非编码键盘,也有用到编码键盘的。非编码键盘又分为独立键盘和行列式(又称为矩阵式)键盘。所谓独立式键盘,即嵌入式CPU(或称MCU)的一个GPIO口对应一个按键输入,这个输入值的高低状态就是键值。矩阵键盘用于采集键值的GPIO是复用的,一般分为行和列采集,例如4*4矩阵键盘就只需要行列各4个按键就可以了,矩阵键盘的控制较独立键盘要复杂得多,本实验未涉及,所以对其原理不做详细介绍。

         独立按键一般有2组管脚,虽然市面上我们常常看到有4个管脚的按键,但它们一般是两两导通的,这2组管脚在按键未被按下时是断开的,在按键被按下时则是导通的。基于此原理,我们一般会把按键的一个管脚接地,另一个管脚上拉到VCC,并且也连接到GPIO。这样,在按键未被按下时,GPIO的连接状态为上拉到VCC,则键值为1;按键被按下时,GPIO虽然还是上拉到VCC,但同时被导通的另一个管脚拉到地了,所以它的键值实际上是0。

如图8.10所示,在本实验中,我们有一组4*4矩阵键盘。但是通过P12的PIN1-2短接时,其实S1/S2/S3/S4可以作为独立按键使用,它的一端接地,另一端在上拉的同时连接到FPGA的I/O口。当I/O口的电平为高(1)时,说明按键没有被按下,当I/O口的电平为低(0)时,说明按键被按下了。

2.jpg

图8.10 矩阵按键电路图

有人可能会说,按键值的采集判断有什么难的,我读连接按键的GPIO为1则未被按下,为0则被按下。话虽这么说,可实际情况可比这要复杂得多。如图8.11所示,按键在闭合和断开时,触点会存在抖动现象,这个抖动不仅和按键本身的机械结构有关,也和按键者的动作快慢轻重有关。因此,在按键按下或者释放的时候都会出现一个不稳定的抖动时间,如果不处理好这个抖动时间,我们就无法正确采集到正确有效的按键值,所以我们的设计中必须有效消除按键抖动。如何进行有效的消抖,是本实验的重点。

3.jpg

图8.11 按键抖动波形

         在我们的按键采集中,为了有效的滤除按键抖动,我们使用了一个大约40ms的计数器,在按键值没有变化的时候,这个计数器总是不停的计数,并且计数到40ms最大值时进行一次当前按键值采样(作为最终键值锁存下来)。另外,我们专门设置2个寄存器对当前的按键输入值进行多拍锁存(并不作为最终的键值),并且利用这两个寄存器前后值的变化来判断当前键值是否有跳变(如从1变成0,或从0变成1)。若有键值的跳变,则40ms计数器就会清0,相当于重新开始计数,这样就能够保证按键被按下或者松开时短于40ms的抖动情况下不锁存键值,从而达到滤除任何短于40ms的按键抖动。在实际应用中,40ms足以应付一般的按键抖动,当然具体环境也要具体分析,设计者可以根据需要调整这个计数器的计数值,8.12只要能够更好的满足抖动的需要即可。

如图所示,这里的40ms计数器只有在计数到最大值时产生锁存当前键值的时能信号,在抖动期间按键的采样周期也会相应的变长一些,但却能够得到更加稳定准确的键值。

4.jpg

图8.12 按键消抖处理