kevinc

主攻ZYNQ及RTOS开发,关注Flash存储

Vivado中新建工程或把IP搭建成原理图

1
阅读(7019)

上一节说了怎么建自己的IP,下面把自己的设计方案用IP的方式搭建成原理图。

  1. 新建project

    blob.png

  2. 选择芯片型号xc7z020clg400-1

    blob.png

  3. 既然vivado是按照IP原理图来设计的,首先是要添加block

    blob.png

  4. 直接从IP Catalog中选择想要的IP模块

    blob.png

  5. 这里我添加了cpu核,axi-bram控制器,bram,pll时钟器,中断桥接concat,以及我自己的nand IP

    blob.png

  6. 点击上方的Run Connect就会自动连接各模块,同时也会把Cpu_Reset,AXI Interconnect等必须的连接模块加进来。

    blob.png

  7. 一般来讲,xilinx自己的IP之间都会自动连好,但是你的IP软件不知道怎么练,所以clk rst等还是自己手动连线

  8. 接下来把该出的端口出一下,Run Block会把自带IP的管脚引出,自己的IP选中管脚按Ctrl+T快捷出。

  9. 这时整个布局界面一般都比较乱,整理一下。左侧工具条,倒数2、3分别是布局和布线。个人感觉layout不是很合心意,一般都是自己拖动模块布局,然后点Routing,基本上就顺了。

    blob.pngblob.png

  10. 最终的布局布线图如下,按照信号流的走向来的。

    blob.png

  11. 双击各个IP把参数按照自己的需求设置下。比如说,system出uart usb sd接口,bram的宽度深度,axi出几个控制口等。这一步一般在添加IP之后就设置的,一般来讲,放在最后也没什么太多差异。

  12. 下一节,讲一下综合-实现-出bit。