mdykj33

基于Verilog FPGA 流水灯设计_流水灯源码_明德扬资料

0
阅读(1630)

LED流水广告灯

工程说明

在本案例中,使用常用的verilog语言完成该程序,设计并控制8个灯的花式或循环点亮;即上电后,实现左移和右移交替的流水灯。

案例补充说明

在FPGA电路设计中,尽管流水灯的设计属于比较简单的入门级应用,但是其运用到的方法,是FPGA设计中最核心和最常用部分之一,是FPGA设计必须牢固掌握的基础知识。从这一步开始,形成良好的设计习惯,写出整洁简洁的代码,对于FPGA设计师来说至关重要。

至简设计法--流水灯.rar