QuietE

根据modelsim仿真步骤,生成do文件

0
阅读(3419)

 

在进行modelsim仿真时,常常需要根据仿真结果,对源文件做修改,在重新仿真。每次手动修改后,还得一步步的编译、仿真、添加信号列表,很麻烦。

Modelsim是支持transcript的,可以编写一个脚本来运行。

网络上能找到很多do文件的写法。

这里说的方法,是从modelsim软件中的transcript对话框中提取tcl命令:clip_image001

原理很简单:

按照仿真步骤,一步步来,每做一步,都要查看下相应的在transcript对话框中执行的语句,将这些语句放到一个文件中,就形成了do文件。

比如,第一步,在modelsim中更换文件夹,在gui中直接操作鼠标就好了,同样,相应的命令就是 CD (对应绝对路径) 比如我转到桌面下的test文件夹 cd C:/Users/Administrator/Desktop/test

第二步:新建工程,编译。在工程中编译文件,成功后,在transcript对话框中会有如下显示:clip_image002

如图,绿色字体表示编译成功,对应的编译命令,双击即可查看:clip_image003

提取编译命令:vlog -work work -vopt C:/Users/Administrator/Desktop/test/video_fourIN_twoOUT.v 即可,同样多个文件的按步骤来就行

第三步:仿真

编译完成,需要仿真,执行仿真操作,在transcript中找到对应命令:clip_image004

这里提vsim -gui -novopt work.video_fourIN_twoOUT_tb命令 其中work.video_fourIN_twoOUT_tb是要仿真的文件

第四步:添加信号列表

在软件界面选择需要的信号,添加。对应的transcript中就有了相应的命令:clip_image005

提取出来即可。

最后,运行 run

这样一个do文件就出来了。

在修改了源文件之后,只需要在transcript中执行do文件即可。