rousong1989

FPGA入门:ModelSim的安装

0
阅读(1337)

FPGA入门:ModelSim的安装

 

本文节选自特权同学的图书《FPGA/CPLD边练边学——快速入门Verilog/VHDL

书中代码请访问网盘:http://pan.baidu.com/s/1bndF0bt

 

         接下来我们要分别安装已经下载好的可执行文件12.0_178_modelsim_ae_windows.exe(先安装)和12.0sp1_232_modelsim_ae_windows.exe

         Quartus II Web Edition的安装类似,点击可执行文件后,在首先弹出的解压页面做好设置后点击“Install”开始解压操作,接着一路Next,如图5.12所示,为ModelSim-Altera Starter Edition安装过程。


5.12 ModelSim-Altera Starter Edition安装中

         ModelSim-Altera Starter Edition安装完毕,双击桌面的快捷菜单或通过开始菜单(如图5.13所示)进入软件主界面如图5.14所示。


5.13 开始菜单打开ModelSim-Altera Starter Edition


5.14 ModelSim-Altera Starter Edition工作界面

         至此,我们也就安装好了ModelSim-Altera Starter Edition

 

本文节选自特权同学的图书《FPGA/CPLD边练边学——快速入门Verilog/VHDL

书中代码请访问网盘:http://pan.baidu.com/s/1bndF0bt