wind330

Modelsim协同SystemC仿真

0
阅读(3802)

12105287897463.zip

最近工作日渐清闲,有时间和精力学习SystemC了,其实网络上有很多比较SystemC和SystemVerilog之间哪个好的争论,我也有选择的 苦恼,但是最终选择SystemC,因为大学里学过C++,还有就是本身自己设计中都是应用VHDL,很少涉及Verilog,所以选择了她;具体以后有 深入的了解SystemC后,再说她的优点。

本文的实例来自网络上的文档,以下是链接:

http://www.dzkf.cn/html/EDAjishu/2007/0119/1439.html

新建Modesim工程,sctest.mpf,并导入如下四个文件:

shifter_test.cpp

shifter_test.h

shifter.v

tb.v

在Transcript窗口分别输入如下命令:

sccom -g *.cpp

#打印信息:

#

# Model Technology ModelSim SE sccom 6.4b compiler 2008.11 Nov 14 2008

#

# In file included from shifter_test.cpp:1:

# shifter_test.h:71:7: warning: no newline at end of file

# shifter_test.cpp:2:32: warning: no newline at end of file

#

# Exported modules:

#     shifter_test

sccom –link

#打印信息:

#

# Model Technology ModelSim SE sccom 6.4b compiler 2008.11 Nov 14 2008

vlog *.v

#打印信息:

# Model Technology ModelSim SE vlog 6.4b Compiler 2008.11 Nov 14 2008

# -- Compiling module shifter

# -- Compiling module tb

#

# Top level modules:

#     tb

vsim tb

#打印信息:

# vsim tb

# ** Note: (vsim-3812) Design is being optimized...

# Loading work.tb(fast)

# Loading G:\systemc_develop\sctest\work\_sc\win32_gcc-3.3.1\systemc.so

# Loading G:\systemc_develop\sctest\work.shifter_test

# Note: Unable to load profiler symbols

G:\systemc_develop\sctest\work\_sc\win32_gcc-3.3.1\systemc.so.nm

然后,我们就选择需要观察的信号,仿真它们的波形。

注意:在利用Modesim仿真SystemC之前,需要下载modelsim-gcc-3.3.1-mingw32.zip,以下是该压缩包的链接地址:

http://nukeuploads.com/download/1226175659/PG2DMVDDOR77LC2/modelsim-gcc-3.3.1-mingw32.zip.html

将压缩包直接解压在Modesim的安装路径下,就可以编译SystemC代码了。

遗留问题:经过测试发现,如果仿真的时间设置过长,Modesim会直接退出,个人认为是,当SystemC的Testbench仿真时间比你在Modesim设置的仿真时间要短,程序结束后Modesim会自动退出,目前还找不到方法解决。