wzq

博客介绍:

简单的verilog串并转换 程序

串并转换的简单实现方法,用计数器的最低位作为时钟sclk,用移位寄存器降下面的程序是实现串并转换,数据在下降沿变化,在上升沿采样。