【红色飓风Nano二代测评】点亮板子
0赞
发表于 4/1/2014 10:47:59 AM
阅读(3724)
无意中在群里看到有人贴出来红色飓风测评的活动,就随手点了一下,三天后就收到了板子,AET办事的效率还挺高的哈!
手上正好有xilinx的下载器,不然自己还要再买,浪费时间又浪费金钱,我觉得这一点做的就不是太好。我手上还有一块BASYS2的板子,人家的设计就很好,一根USB线全部搞定,不需要额外的任何线,国内的公司是不是在方面还得借鉴一下。
不过,因为Diglient跟Xilinx是有合作的,可以拿到JTAG的时序,而Digilient的下载器通常比xilinx原装的还要给力(速度差不多是原装的1.5~2倍左右)。这个暂且就不讨论了,还是先看板子。
板子整体看上去做工还是不错的,原理图是用Capture画的,要比市面上卖的白菜价的开发板要高一个档次。
烧了一个流水灯的小例子,时钟正常。
module top( input clk, output wire [7:0] led ); reg direction = 1'b0; reg [7:0] led_buf = 8'h01; reg [31:0] clk_cnt = 0; reg clk_buf = 0; assign led = led_buf; always@(posedge clk) begin if (clk_cnt == 5000000) begin clk_buf <= !clk_buf; clk_cnt <= 0; end else begin clk_cnt <= clk_cnt + 1'b1; end end always@(posedge clk_buf) begin case (direction) 0: begin if (led_buf != 8'h80) led_buf <= led_buf<<1; else direction <= 1'b1; end 1: begin if (led_buf != 8'h01) led_buf <= led_buf>>1; else direction <= 1'b0; end endcase end endmodule
net "clk" loc = "M9" ; net "led[0]" loc = "M12"; net "led[1]" loc = "L12"; net "led[2]" loc = "L13"; net "led[3]" loc = "M14"; net "led[4]" loc = "R12"; net "led[5]" loc = "T12"; net "led[6]" loc = "T13"; net "led[7]" loc = "T14";
