snifer

【原创】博主和你一起学习-----创建基于Vivado的HDL设计文件

0
阅读(4080)

又是周末了,天气很不错,被文章压得喘不过气来,转换一下思路,写写关于Vivado的HDL设计文件的创建问题。

创建HDL文件的目的是为下一步的设计创建环境,主要分成这样四步:

1、在源文件窗口,右击上面的子系统设计窗口,并且选择创建输出产品,如下图所示,这将会产生在系统流图设计中的源文件和相关的限制文件。

2、此时管理输出产品对话框会打开,点击OK:

3、在源文件窗口,选择最上面的子文件系统,选择创建HDL示例,从而创建了一个顶层的HDL文件,如下图所示:

4、当创建HDL示例成果以后点击OK,就好了

悠游假期,每天有各种各样的事情,这也许就是生活吧,不知道以后没事可干的自己,将是怎样的心情。