chinaaetwoaini

转 vivado 联合modelsim 仿真,关于libxil_vsim.dll

0
阅读(1723)

第二个,要编译库关联到modelsim中。



编译完成后,然后仿真选择

注意编译的库文件在


但是在运行的时候

看到modelsim弹出这个恶心的信息。

Loading C:/Xilinx/Vivado/2014.4/lib/win32.o/libxil_vsim.dll
# ** Error: (vsim-3193) Load of "C:/Xilinx/Vivado/2014.4/lib/win32.o/libxil_vsim.dll" failed: Bad DLL format.
# 
# ** Error: (vsim-PLI-3002) Failed to load PLI object file "C:/Xilinx/Vivado/2014.4/lib/win32.o/libxil_vsim.dll".

 原因是vivado调用64位的modelsim的软件。所以这个时候需要编辑文件,在仿真目录 behave的,test_simulation.do这个文件。 把

Loading C:/Xilinx/Vivado/2014.4/lib/win32.o/libxil_vsim.dll

这个语句 win32改成win64。

Loading C:/Xilinx/Vivado/2014.4/lib/win64.o/libxil_vsim.dll

然后在modelsim运行 do test_simulation.do.


不过很蛋疼的每次都要修改。

但相对vivado 仿真各种奇葩的错误好多了。vivado 错误最奇葩就是自定义ip如果用多了fifo单元,编译顺序会错。所以这个时候,没办法 运行。


vivado rtl的仿真相对来说比较精确点。最近用的zynq的项目感觉到了。modelsim未必精确。