xzy610030

一起探讨,一起进步,一起分享!

zedboard的GPIO实验

0
阅读(11515)


开发环境:xps14.6+sdk14.6

上次博客说了GPIO的使用,这次就来实践一下。

本实验使用MIO7zedboard板上的LD9),两位EMIO,两位axi gpioIP核,分别接到LED上,点亮LED,主要练习GPIO的使用方法。

一:硬件配置

1.       启动xps14.6,创建工程Create New Project Using BaseSystem Builder

2.       创建工程。因为PS系统和FPGA连接是采用AXI接口,因而选择内部互联类型(Interconnect Type) AXI。图1



3.     选择设计平台为ZynqZC702,图2



4.     到外设配置界面,系统会默认有GPIO_SW LEDs_4bits 这两个外设,我们不需要,remove,图3

5.   添加AXI GPIO外设,进入主界面 图4


6.   IPCatalog中,找到GeneralPurpose IO,找到 AXI GPIO,双击添加到系统中。图5


7.   我们这里不修改元件实例化名称,axi_gpio_0将长度改为2,其他默认。 图6


8.   ok后出现  7


9.       点开BusInterfaces标签,可以看到系统汇总现在有PS(这里是processing_systems7_0) 、添加的外设axi_LDs AXI内部互联总线axi_interconnet_1。可以看到对于 axi_interconnet_1来说,PSAXI主设备,外设是AXI从设备。

10.   添加EMIO,图8,设置为2位的宽度(之前14.2不是这个的方法,但是14.6必须这里来做)

11.  注意这里要自己手动来连接EMIO到外部管脚上,axi会自己连接图9

12. 看看所有的外部端口是不是有了EMIO,图10

13. 引脚约束 图11

14. 分配地址。DRC后,生成bit流文件,这里都不难的,之前都有做过,但是注意这里ucf千万别有非法字符,否则就是报错的,我这里倒腾了一个小时。生成bit文件后,导入到sdk里面,开始软件编程。图12


二:软件编程

新建工程图13


Next,并选择helloworld工程模板,图14


点击finish,这样工程就创建完毕,接下来我们就在helloworld.c中点亮这5led

软件代码:

#include <stdio.h>
#include "platform.h"
/*add include file*/
#include "xil_types.h"
#include "xgpio.h"
#include "xgpiops.h"
#include "xparameters.h"





//void print(char *str);
//static int iPinNumber = 7; /*Led LD9 is connected to MIO pin 7*/
//static int iPinNumberEMIO1 = 54;
//static int iPinNumberEMIO2 = 55;
int main()
{
	static XGpio LED_Ptr;//定义GPIO指针

	static XGpioPs psGpioInstancePtr;   //定义PS的GPIO指针,如果用到MIO和EMIO也只要定义这一个就行
	XGpioPs_Config *GpioConfigPtr; //XGpioPs 结构体中还包含一个结构体,查bsp中的h文件
    init_platform();
  //  print("Hello World\n\r");
    int XStatus;//函数返回状态
    int xStatus;
//  EMIO  &  MIO
    GpioConfigPtr = XGpioPs_LookupConfig(XPAR_PS7_GPIO_0_DEVICE_ID);
    if(GpioConfigPtr == NULL)
    	return XST_FAILURE;
    xStatus = XGpioPs_CfgInitialize(&psGpioInstancePtr,GpioConfigPtr,GpioConfigPtr->BaseAddr);
    if(XST_SUCCESS != xStatus)
    	print(" PS GPIO INIT FAILED \n\r");

    XGpioPs_SetDirectionPin(&psGpioInstancePtr, 7,1); // 0输入,1输出
    XGpioPs_SetOutputEnablePin(&psGpioInstancePtr, 7,1);   //0 为dis,1为enable
    XGpioPs_WritePin(&psGpioInstancePtr,7,1);

    XGpioPs_SetDirectionPin(&psGpioInstancePtr, 54,1);  //0输入,1输出
    XGpioPs_SetOutputEnablePin(&psGpioInstancePtr, 54,1);   //0 为dis,1为enable
    XGpioPs_WritePin(&psGpioInstancePtr,54,1);

    XGpioPs_SetDirectionPin(&psGpioInstancePtr, 55,1);  //0输入,1输出
    XGpioPs_SetOutputEnablePin(&psGpioInstancePtr, 55,1);   //0 为dis,1为enable
    XGpioPs_WritePin(&psGpioInstancePtr,55,1);


    // AXI GPIO
    XStatus = XGpio_Initialize(&LED_Ptr,XPAR_AXI_GPIO_0_DEVICE_ID);
    if(XST_SUCCESS != XStatus)
    		print("GPIO INIT FAILED\n\r");
    XGpio_SetDataDirection(&LED_Ptr, 1,0x00);//通道1;设置方向 0 输出 1输入
    XGpio_DiscreteWrite(&LED_Ptr, 1,0xff);

    return 0;
}


实验结果:5个灯都亮了。图15