特权同学

勇敢的芯伴你玩转Altera FPGA连载基于仿真的第一个工程实例之Modelsim仿真验证基本设置

0
阅读(1361)

勇敢的芯伴你玩转Altera FPGA连载44:基于仿真的第一个工程实例之Modelsim仿真验证基本设置

特权同学,版权所有

配套例程和更多资料下载链接:

http://pan.baidu.com/s/1i5LMUUD

1.jpg

 

         好,既然我们的语法检查通过了,那么接下来我们不妨小试牛刀,让仿真工具Modelsim来输出波形验证设计结果和我们的预想是否一致。在用Modelsim仿真前,在Quartus II中需要确认几个设置。如图6.11所示,点击菜单的“Tools à Options…”。

2.jpg

图6.11 Options菜单选项

         如图6.12所示,进入选项卡“General à EDA Tool Options”,设置“Modelsim-Altera”后面的路径,即我们安装Modelsim时的路径。

3.jpg

图6.12 设置ModelSim路径