linyujiang

【转】ZedBoard引脚约束参考

从ISE转换到Vivado时,UCF转XDC的几种方法:(1)软件自动转换参考网址:Youtube用ISE->EDK->PlanAhead打开所需转换的工程文件*.xise,并打开bd在TclConsole栏中输入以下命令:write_xdc-fileYourFileFullPath/test.xdc(2)参考文档人工转换文档:ug911-vivado-m