niceman1992

FPGA 初学者征程(3)开发流程

0
阅读(1166)
 工欲善其事,必先利其器。下面我们就搭建FPGA 的开发环境,开始我们的FPGA 的开发之旅。
    我们知道FPGA是硬件,和学习单片机一下,这样的东西需要反复练习才能掌握,因此我希望在你的面前能有一块FPGA开发板,如果你的layout的能力比较强,您完全可以自己开发出一套开发板。但是如果您layout的能力不够的话,可以买一块市售的FPGA开发板,也可以在各大论坛申请免费的开发板。
     我学习的FPGA是Altera公司的,因此下面的博文针对Altera公司的产品。Altera公司的开发环境是quartus II,关于软件如何获得我就不说了,你可以从官方网站上下载或者别的什么地方,也可以私信我。
      下面我将从如何点亮一个LED说明整个FPGA开发的流程;
 通常我们见到的LED一般的原理图是这样的
    
       其中LED0,LED1,LED2,LED3接在FPGA的引脚上,当FPGA相应的引脚为高电平的时对应的LED被点亮,低电平的时候熄灭。好了,知道这些,下面我们开始整个这个工程的开发。
       首先在合适的目录下面新建一个文件夹,命名LED-example,如图
       然后打开 quartus II软件,打开后的界面如图所示
      单击 New project wizard,如图
     进入如下界面,选择工程的目录并对工程命名,其中工程名必须和module名一致,
单击下一步,如果已经编写好了verilog代码,可以通过单击图示的按钮将源文件添加到工程目录中
单击下一步,在这里,我们选择和自己的硬件相匹配的器件。

单击下一步,我们选择EDA工具,其中包括自己的仿真工具。

单击finish,整个工程的向导算是完成了。
下面通过单击new,新建一个.v文件。文件命名为led.v,输入如下代码;
这里的代码片段采用的是图片,希望大家跟着打一遍。多联系。
代码编辑完成之后,我们进行编译综合,完成之后我们要进行引脚分配。根据原理图或TCL脚本对各个端口进行分配引脚。单击如图所示的按钮
进入如下界面,在这里我们要对led_out这个端口进行引脚分配
 
根据原理图或者TCL脚本,我们发现LED的引脚在我的开发板上为G15,分配引脚后界面如图所示;
然后我们队没有用到的引脚做一些约束,点击 assignment-->Device ,进入如下界面

,单击如下按钮,做如下改变
完成之后,重新进行编译,编译完成之后,连接硬件,插上USB-blaster,并对板子上电。然后点击如下按钮;
进入如下界面,


单击如下按钮


进入如下界面,找到USB-blaster,如图
然后点击如下按钮,加入.sof 文件。
进入文件后界面如图;
完成以上配置后,单击start按钮,将代码配置到FPGA中。
以上便是这个FPGA在quartus中开发整个流程,如果朋友在任何一个环节中出现过问题,欢迎大家和我联系,可以私信我,也可以给我发邮件;18921428564@163.com
下面的博文,我将介绍流水灯在FPGA中的实现,这里牵涉到一个时序电路,就是需要定时器对内部的时间进行控制,
    希望大家多多指正。