snifer

[原创]博主和你一起学习如何高效使用Vivado进行设计---第一个项目

0
阅读(5996)

跟我学Vivado,因为我也是初学者,大家一起进步。

您可以启动Vivado设计套件和运行该工具使用不同的方法  根据您的偏好。例如,您可以选择一个Tcl脚本的编风格的方法,你自己管理来源和设计过程中,也被称为Non-Project模式。或者,您可以使用一个基于项目的方法来自动管理你的设计过程和设计数据使用项目和项目状态,也称为项目  模式。这两种方法可以使用Tcl脚本批处理模式运行或运行交互式地Vivado IDE。在不同的设计流程模式的更多信息,我是参考了Vivado设计套件用户指南:设计流程概述,启动以后的界面如下图:

使用Tcl   

如果你喜欢工作直接与Tcl,你可以与你的设计使用Tcl命令交互使用下面的方法: 输入个人Tcl命令Vivado设计套件Tcl shell之外的Vivado IDE。 

•在Tcl控制台输入个人Tcl命令底部的Vivado IDE。  

•从Vivado运行Tcl脚本设计套件Tcl壳。  

•从Vivado IDE运行Tcl脚本。

使用以下命令来调用Vivado设计套件Tcl壳牌在Linux命令提示符或在Windows命令提示窗口:

vivado –mode tcl

windows下启动需要按照如下步骤:

Start > All Programs > Xilinx Design Tools > Vivado2013.x > Vivado 2013.x Tcl Shell.
如果你喜欢一个GUI,您可以从Windows或Linux启动Vivado IDE。

启动以后的界面如下图:


左侧为项目管理,主要实现项目设置,增加程序,ip管理,仿真,RTL分析,合成,设备管理,程序的调试,硬件的管理等。

中间的2个窗口分别为设计源及属性,再有便是对项目的管理。

下面这个窗口是程序的运行状态。

打开一个example。点击上面的run,就能够执行相关的程序,对属性进行简单的设置,然后保存。

然后就能看到各种状态。

运行非常费时啊。我的8G,I7的电脑运行了10分钟左右

成功以后能够看到设备调试的界面:

具体的细节设置,我们下次再跟大家一起来玩,非常有意思哦。