超群天晴

【原创】LEON3入门教程(四):基于AMBA APB总线的七段数码管IP核设计

摘要:这一小节将介绍下如何设计用户自定义的APBIP,并将IP嵌入到SOPC中去。一个APBIP核的主要分为三个部分:逻辑单元、寄存器单元和接口单元。所设计的IP是一个简单的七段数码管显示IP,只有一个寄存器ledindata_reg,实现数码管显示,比较简单实用,可以类比到更多的

【原创】LEON3入门教程(三):基于LEON3的SOPC设计以及HELLOWORLD和流水灯

摘要:通过前两篇博客的介绍,Windows下基本的LEON3开发环境就已经搭建好了。这篇博客将要介绍LEON3SOPC平台的搭建(使用Cygwin+GRLIB)和LEON3IDE(eclipse)的使用,并编写我们的第一个LEON3程序HelloWord+流水灯。更多更新请关注我的博客:@超群天晴http://www.cnblogs.c

【原创】LEON3入门教程(二):Cygwin和GRtools的安装与配置

摘要:由于LEON3的配置需要在Unix环境下完成,这里使用cygnussolutions公司开发的自由软件Cygwin,它是是一个在windows平台上运行的unix模拟环境。GRtools是LEON3软件开发所需要的集成开发环境。这里将介绍Cygwin和GRtools的安装方法和注意事项,以及安装后的一些配置。

【原创】LEON3入门教程(一):什么是LEON3?需要哪些开发工具和软件?

写在最前面的话2010年年底我开始接触LEON3,花了3个月时间把LEON3源代码大致阅读完,然后参照GaislerResearch网站上提供的leon3-altera-ep3c25源代码,修改并移植到DE2-70平台上,并作了一些AHB和APB外设的IP核设计,历时近一年,最终完成了我的本科毕业设计。之后因为