sy262391265

QuartusII与Modelsim之间的使用方法(2)

经过QuartusII编译后,利用Modelsim单独建立工程1.首先打开Modelsim软件,新建一个project,如图所示:2.将quartusII中的四个文件copy到modelsim工程所在文件夹,tb_test.v是testbench文件,.sdo文件是时序映射文件,maxii_atoms.v是元器件文件,.vo是simulition映射文

QuartusII与Modelsim之间的使用方法(1)

使用QuartusII生成Testbench,然后打开Modelsim软件,进行单独仿真。1.打开QuartusII,新建一个工程,写入工程代码。2.进入菜单栏Assignments-EDAToolSettings,双击图1的Toolname,出现图2并配置成图2所示。3.重新对工程进行编译。然后打开工程目录,多了一个sim