XU.J.P

Modelsim-Altera 使用技巧总结(三)

0
阅读(3265)

软件使用版本:Quartus II 13.0+Modelsim_Altera10.1d

工程使用例程:tcd1209+ad9945verilog HDL设计

撰写人:Strive_JP

参考资料:《ModelSim高级使用进阶_1_do文件和批处理文件使用_Camp

一、do文件使用

在使用技巧总结(一)中提到了,保持波形文件格式为.do文件,以便在下次进行仿真时直接打开。其实,.do文件可以很方便地增加工作效率。前面所介绍的都是基于GUI即Modelsim图形界面的仿真方法,每次仿真过程中都需要将这些仿真流程执行一篇。无论是点击鼠标还是输入命令,这些方法都极大的不便。尤其当我们发现错误,修改代码后再进行重复步骤的编译。这些都让人崩溃。在本文中,总结一种.do文件调用的方法,来省略这些仿真流程。

还是采用技巧总结(二)中的例程来说明,建立.do文件。例程目录如下:


注:上图已完成本文档所有操作,并且在工程中最好将仿真所有文件放入同一文件夹下,防止因路径问题,仿真无法正确执行。

随后,打开modelsim,进行功能仿真,所有步骤参考技巧总结(一)。

运行完仿真之后,我们将仿真过程中的命令进行总结:
·cd {C:\Documents and Settings\Administrator\Desktop\sim}--更改路径 名称(当前modelsim工程路径)

·vlib work  ---建立work

·vmap work work ---映射work

·vlog -reportprogress 300 -work work {C:\Documents and      Settings\Administrator\Desktop\sim\tcd1209d.v}

·vlog -reportprogress 300 -work work {C:\Documents and      Settings\Administrator\Desktop\sim\test_prj.v}

·vlog -reportprogress 300 -work work {C:\Documents and      Settings\Administrator\Desktop\sim\ccd_config.v}

·vlog -reportprogress 300 -work work {C:\Documents and      Settings\Administrator\Desktop\sim\ccd_timing.v}

·vlog -reportprogress 300 -work work {C:\Documents and      Settings\Administrator\Desktop\sim\tb_test_prj.v}

---以上几步加载数据路径中的文件

·vsim tb_test_prj  ---运行仿真模块

·view wave      ---打开波形窗口

·add wave -hex *  ---添加所有信号至wave窗口,并以16进制显示

·run 50us          ---执行仿真

通过这几个命令,就可以编译属于自己的.do命令了。

--------------------------------------------------------------------------------------------------

cd {C:\Documents and Settings\Administrator\Desktop\sim}

vlib work

vmap work work

vlog -reportprogress 300 -work work {C:\Documents and Settings\Administrator\Desktop\sim\tcd1209d.v}

vlog -reportprogress 300 -work work {C:\Documents and Settings\Administrator\Desktop\sim\test_prj.v}

vlog -reportprogress 300 -work work {C:\Documents and Settings\Administrator\Desktop\sim\ccd_config.v}

vlog -reportprogress 300 -work work {C:\Documents and Settings\Administrator\Desktop\sim\ccd_timing.v}

vlog -reportprogress 300 -work work {C:\Documents and Settings\Administrator\Desktop\sim\tb_test_prj.v}

vsim tb_test_prj

view wave

add wave -hex *

run 50us

---------------------------------------------------------------------------------------------------

将上述命令编辑成.do文件保存。

重新打开modelsim工程:



在transcript端口输入do file.do 命令。自动执行仿真如下。

在波形图界面单击下,再点击保存,可以将仿真完成的波形图保存下来,以便下次启动时直接查看。保存的文件依然为.do文件。

下次若想查看此处仿真图,只需运行file---load---macro file


选择保存的wave.do文件,确定后加载上次保存的波形。

二、批处理文件使用(有待继续挖掘)

这里只将使用方法写出。在仿真文件夹sim下新建一个txt文件并打开,在txt文件中输入下面命令。

-------------------------------------------------------------------------------------------------------

Echo

Pause

Vsim -do .\tcd1209.do

Pause

-------------------------------------------------------------------------------------------------------

保存后,关闭文件,将文件后缀名改成.bat

这样一个简单的批处理文件就做好。

双击.bat文件,出现如下对话框,按任意键。这事电脑将自动调用modelsim进行仿真,仿真波形也一并给出。

执行完成后的对话框

注意:若不想看到modelsim界面,想让modelsim直接后台运行,只需将.bat里面改成如下所示:

-------------------------------------------------------------------------------------------------------

Echo

Pause

Vsim -c -do .\tcd1209.do

Pause

-------------------------------------------------------------------------------------------------------

这样modelsim运行的结果将打印在DOS命令窗口。以一个简单的16位计算器为例:modelsim后台仿真后结果如下。

仿真的结果也可通过生成的transcript文件来查看,采用txt文本文档打开。

OKmodelsim仿真的总结就此结束,但知识是不停积累的,总结结束,学习继续。。。。。。。