xzy610030

一起探讨,一起进步,一起分享!

【红色飓风Nano二代测评】资料链接和led试玩

0
阅读(2884)

今天考完长途回来,就受到寄来的板卡,格外高兴。由于之前用xilinx的FPGA都是使用USB-JTAG来烧写的,由于NANO2开发板不支持USB-JTAG,需要使用xilinx的仿真器来烧写FPGA,买的仿真器将近200大洋,

由于在社区中没有找到资料,我去淘宝上找这块板卡,看到了这块板卡的资料。

板卡资料:http://aw7kjkqgf2.l24.yunpan.cn/lk/QpNRkwW9ZFnek#/-0,很详细(后面发现记过来板卡的有一页纸上有这个网址)。

因为对xilinx的东西不是特别的熟悉,这次就记录led流水灯实现的过程。

一:仿真器驱动安装

将仿真器连接到nano2,再将usb口连接到电脑上,出现

clip_image002

选择自动安装软件,下一步

clip_image004

安装完成后,重启电脑。查看电脑设备管理器,可知是否安装成功。

clip_image005

注:xp系统,注意不能在开发板上电的时候插拔JTAG。

二:流水灯设计

这本是一个入门级别的实验,但是不熟悉ISE的我决定记录好自己整个过程。

启动ISE14.6,新建LED工程

clip_image007

Next,选择目标器件

clip_image009

Next,出现刚刚新建工程的信息,看看是不是对的,没错的话,点击finish。

完成了工程的建立,就来到了ISE的主界面,选择project-》new source

clip_image011

点击Next,添加输入输出

clip_image013

点击Next,在finish就可以进入verilog的设计了。

编写verilog代码


`timescale 1ns / 1ps
//////////////////////////////////////////////////////////////////////////////////
// Company: 
// Engineer: 
// 
// Create Date:    19:37:11 03/30/2014 
// Design Name: 
// Module Name:    LED 
// Project Name: 
// Target Devices: 
// Tool versions: 
// Description: 
//
// Dependencies: 
//
// Revision: 
// Revision 0.01 - File Created
// Additional Comments: 
//
//////////////////////////////////////////////////////////////////////////////////
module LED(
    input clk,
    input rst_n,
    output [7:0] led
    );

//1s延时,50M
reg [25:0]cnt;
reg led_ctrl;
always @(posedge clk)
begin
	if(!rst_n)
		begin
			cnt<=0;
			led_ctrl<=0;
		end
	else	if(cnt==26'd49_999_999)
				begin
					cnt<=0;
					led_ctrl<=1'b1;
				end
			else
				begin
					cnt<=cnt+1'b1;
					led_ctrl<=0;
				end		
end

reg [7:0]led_reg;

always @(posedge clk)
begin
	if(!rst_n)
		led_reg<=8'b0000_0001;
	else	if(led_ctrl)
				led_reg<={led_reg[0],led_reg[7:1]};
			else
				led_reg<=led_reg;
end

assign led=led_reg;
endmodule


编写UCF文件,选择project-》new source

clip_image015

Next后,点击finish,编写ucf文件


NET led[0] LOC =M12 | IOSTANDARD=LVCMOS33;
NET led[1] LOC =L12 | IOSTANDARD=LVCMOS33;
NET led[2] LOC =L13 | IOSTANDARD=LVCMOS33;
NET led[3] LOC =M14 | IOSTANDARD=LVCMOS33;
NET led[4] LOC =R12 | IOSTANDARD=LVCMOS33;
NET led[5] LOC =T12 | IOSTANDARD=LVCMOS33;
NET led[6] LOC =T13 | IOSTANDARD=LVCMOS33;
NET led[7] LOC =T14 | IOSTANDARD=LVCMOS33;
NET rst_n LOC =P7;
NET clk LOC =M9;


点击编译,编译没错后,点击Generate Programming File,产生bit流文件

三:编程与配置

1:JTAG烧写

点击Tools->iMPACT,给开发板上电(这个时候已经连接好了JTAG)

点击Boundary Scan后,在右边选择Initialize Chain,选择

clip_image017

选择no

clip_image019

对目标器件右键,Program,可以看到流水灯了。

2:flash烧写

在产生bit流文件后,进入iMPACT界面,这下不是Boundary Scan,而是

clip_image020

进入界面,选择SPI Flash 点击向右箭头,进入step 2,选择64M device 并且add,进入第三步,设置好输出的目录。

clip_image022

点击ok,选择bit文件

clip_image023

点击no

clip_image024

接下来点击产生文件

clip_image025

产生mcs文件后,在boundary scan,在空白处右键Initialize Chain

clip_image026

在右键芯片,选择add spi flash

clip_image027

点击打开,进入如下

clip_image028

点击ok

clip_image029

右键FLASH Program

clip_image031

点击ok

clip_image032

等待烧写完(漫长的过程),就可以掉电不丢失了。

clip_image033

备注:本次入门实验是为了自己熟悉ISE的开发流程,xilinx的FPGA的编程配置,以及验证一下自己的仿真器和试用的板卡是否有用,接下来多多研究实验例程,逼着学些新的东西,写出几篇精彩的博文。