zzuxzt

在QuartusII中用TCL脚本文件配置IO引脚

0
阅读(5938)

       quartus中调用tcl脚本文件配置引脚优点很多,最主要的是可重复使用性强,可以很随意复制粘贴到另一个工程里面。但许久不玩FPGA,老是忘记这些基本步骤,所以写下这篇教程供自己查询和供他人参阅。

一.为工程创建TCL文件:

在建立好工程后,点击工具栏里的Project,在下拉菜单里选取Generate Tcl file for Project,如下图所示:


      

二.打开新建的TCL文件,编写脚本语句配置引脚:

TCL脚本的编写语句: 

-为工程的某个属性赋值 set_global_assignment –name FAMILY  "Cyclone II"

-引脚分配       set_location_assignment PIN_16  -to  clk

其实,我们所需用到的只是第二条“引脚分配”语句,就可以完成IO引脚配置啦,如下图所示:


 

三.编写好TCL文件后,运行TCL,使其生效:

点击工具栏里的Tools,在下拉菜单里选取TCL scripts,弹出对话框后,选取自己工程文件下的TCL文件,点击RUN运行即可,如下图所示:



 

再点击工具栏里的Assignments,选取Pin Planner,即可看到已经分配好的引脚,如下图所示:


四.所需注意的是:

如果工程里修改了芯片型号,输入输出引脚,则在TCL文件修改后,要重新运行修改的TCL脚本文件,那样才能更新引脚分配。