snifer

[原创] 家用视频监控报警系统

写一个大学时候的小设计,与大家分享。随着人们对安全的需求日益强烈,视频监控系统作为一种安全防范的有效手段,越来越受到各界的广泛关注。并且随着信息、网络、通信及多媒体等技术渗透到人类生活的各个领域的同时,视频监控也开始走进人们的生活。但是基于PC的视频监

【原创】关于U-boot的引导过程分析

Vivado的比赛结束了,悠游假期也要画上句号了,开始新的征程吧。今天我就写写我的领域,嵌入式中U-boot的启动过程,与大家分享。主要希望大家能对引导的过程有一个更真切的体会。引导过程分析:第一步:基本的硬件初始化为加载的第二步准备RAM空间拷贝stage2到RAM中设置

[原创]Vivado高效设计案例之基于C++的快速傅里叶变换

基于Xilinx的快速傅里叶变换IP块能够通过C++使用hls_fft.h库进行调用,这种IP在Xilinx文档中称为:LogiCORE™IP快速傅里叶变换v9.0(PG109).今天我主要讲一下如何通过C++代码来进行配置。注:这对你理解IP的众多特性具有非常重要的作用。要在你的C++代码中使用傅里叶变换

[原创]基于Vivado的C程序设计原理

最近利用Vivado进行了几个C程序的设计,取得一点小小心得,与大家分享。众所周知,C程序一个重要内容就是main(),main()函数下面的程序都会被合成分析,在Vivado中被合成的程序称为顶级程序或者设计文件,任意在其中函数称为测试台,测试台用于确定被合成的顶级程序

【原创】Vivado进阶之理解接口管理

最近小伙伴们写了很多优质博客,学到很多,今天我也把我最近研究的成果与大家分享。在基于C的设计中,所有输入输出操作通过普通函数完成,一般来说,输入输出的时间很短,但是在VivadoRTL的设计中这些输入和输出必须通过热定的接口进行管理,高水平的Vivado综合支持了两

【原创】博主和你一起学习-----Vivado进阶之神之重器指示标签

在VivadoHLS中有一个重器指示标签,在进行开发时,非常棒,分享给大家。指示标签包含了所有打开源码的内容,包括:1、程序2、接口(包含接口的各种参数以及I/O控制口)3、阵列4、循环5、作用域(代码的作用区域)指示标签只表示了当前活动的文件,并没有显示设计的所有

赛 【原创】博主和你一起学习-----Vivado进阶之巧用命令

一转眼假期就结束了,今天尝试安装了VivadoHLS,安装完成以后会有这个图标:双击打开以后能看到:在这个界面可以创新新工程,也可以引导打开一个新工程,同样可以打开一个案例工程,打开用户手册等。今天我想大家介绍的是vivadoHLS的命令功能,让你能感觉到vivado和linu

【原创】博主和你一起学习-----Vivado之导出硬件到SDK

本系列的博客主要是进行基础的设置,为下一步的工作做准备,其中很重要的一个步骤就是导出硬件到SDK,由于IP,设计必须导出到SDK的设计中。注意:必须要连接你的板子到你的电脑上,且打开电源,导出到SDK的过程分成三个步骤:1、在导航器中,点击OpenBlock并激活IP流设

【原创】博主和你一起学习-----实现你的Vivado的设计,并产生比特流

上一节介绍了HDL设计文件的实现,实现完HDL以后就可以完成你的Vivado设计,并可以产生比特流了,下面我会通过四步详细介绍这个过程的实现。1、在Vivado左侧的导航器,点击产生比特流,如下图,准备实现你的设计。注意:如果在设计实现前系统要求你重新生成设计,点击NO

【原创】博主和你一起学习-----创建基于Vivado的HDL设计文件

又是周末了,天气很不错,被文章压得喘不过气来,转换一下思路,写写关于Vivado的HDL设计文件的创建问题。创建HDL文件的目的是为下一步的设计创建环境,主要分成这样四步:1、在源文件窗口,右击上面的子系统设计窗口,并且选择创建输出产品,如下图所示,这将会产生在

[原创]博主和你一起学习-----基于Vivado的外设ZYNQ7 IP设置

前一讲介绍了主机ZYNQ7的IP设计,实际使用中还要对外进行IP设置,下面讲如何对外设的IP进行设置。1、双击存储器块IP,或者选择自适应快:2、在基本对话框,设置:MODE为BRAMControllerMemoryType为TrueDualPortRAM,点击OK3、链接块存储器发送

[原创]博主和你一起学习-----基于Vivado进行ZYNQ7 IP设置

基于Vivado进行设计时,经常会遇到IP设计的问题,今天就与大家一起分享这个问题,希望能对大家有所帮助。创建完新的工程后,就需要进行IP的设置,今天要讲的是ZYNQ7的IP设计,具体如下:1、在导航器设计框,选择创建块设计2、在创建块设计输入子系统的名称3、直接点击Vi

[原创]博主和你一起学习-----基于Vivado创建Zynq-­‐7000全接触

最近成都的天气非常好,适合运动,生命不息,运动不止。今天博主就跟大家一起分享基于Vivado创建Zynq-­‐7000的全过程,希望大家能够喜欢。本次学习只要利用Vivado创建一个嵌入式软件,并利用串口输出“helloworld”。第一步:打开SDK创建工程。1、按照顺序打开Start>

[原创]博主和你一起学习----Xilinx ISE/Vivado全系列安装说明

最近很多新朋友跟我讨论Vivado的使用情况,也算是一个新方向吧,总体来说讨论最多的还是Vivado的安装问题,今天我在这就把这个问题一并与大家解答,别忘了投票哦~~~~1、Vivado的下载Vivado有3个下载位置分别是:a、Xilinx的安装版地址http://www.ese.wustl.edu/~ed/Xili

[原创]博主和你一起学习如何高效使用Vivado进行设计---第一个项目

跟我学Vivado,因为我也是初学者,大家一起进步。您可以启动Vivado设计套件和运行该工具使用不同的方法根据您的偏好。例如,您可以选择一个Tcl脚本的编风格的方法,你自己管理来源和设计过程中,也被称为Non-Project模式。或者,您可以使用一个基于项目的方法来自动管理你的