craftor

【红色飓风Nano二代测评】测试网络-1

准备测一下FPGA的MAC核,看了一下,板子上的PHY芯片是RTL8201,只支持10M/100Mbps,不支持千兆的,都什么年代了,还用这么老的片子,有点说不过去啊!不管怎样,先测一下吧。首先要配置PHY芯片的寄存器,是标准的SerialManagement接口,时序图如下:其实就是类似于IIC的

【红色飓风Nano二代测评】测试USB通信

紧接着测试了一下USB与上位机的通信,思路是这样的:FPGA<->USB<->PCFPGA与USB之间是SlaveFIFO方式,测试了一下,发现了一个问题:就是图中的第39引脚没有与FPGA连接。手册上是这么写的:基于我之前的经验,FPGA与USB通过SlaveFIFO方式传数据时,PKTEND应该

【红色飓风Nano二代测评】测试USB芯片

板子上用的是Cypress的CY7C68013A,非常经典的USBController,本人也对此芯片非常熟悉。手头有现成的固件和测试程序,就直接拿来测试了一下。刚上电,USB显示是NOEEPROM,说明EEPROM里没有程序。但我想烧一下自己的固件程序,结果是这样的错误:我再检查一下原理图,图

【红色飓风Nano二代测评】点亮板子

无意中在群里看到有人贴出来红色飓风测评的活动,就随手点了一下,三天后就收到了板子,AET办事的效率还挺高的哈!手上正好有xilinx的下载器,不然自己还要再买,浪费时间又浪费金钱,我觉得这一点做的就不是太好。我手上还有一块BASYS2的板子,人家的设计就很好,一根U