rousong1989

FPGA入门:Verilog/VHDL语法学习的经验之谈

FPGA入门:Verilog/VHDL语法学习的经验之谈本文节选自特权同学的图书《FPGA/CPLD边练边学——快速入门Verilog/VHDL》书中代码请访问网盘:http://pan.baidu.com/s/1bndF0btFPGA/CPLD器件的设计输入有很多