zhsjrobin

Verilog实现PMM信号输出

PWM信号,全称为脉冲宽度调制(Pulse Width Modulation, PWM)信号,顾名思义,该信号是占空比可调的信号,常常应用于呼吸灯、电机调速等场合,关于PWM详细的介绍请自行查阅网络资料。本文将利用一个实例程序...

状态机的设计与实现

状态机的设计与实现 在数字逻辑电路中,状态机是一个非常重要的概念,也是常用的一种结构,状态机常常用于序列检测、序列信号的产生以及时序产生等方面。利用Verilog语言也可以编写出可综合的状态机,并有多种编写格式和编写原则,本文主...