xzy610030

一起探讨,一起进步,一起分享!

Vivado设计二:zynq的PS访问PL中的自带IP核(基于zybo)

1.建立工程首先和Vivado设计一中一样,先建立工程(这部分就忽略了)2.createblockdesign同样,AddIP同样,也添加配置文件,这些都和设计一是一样的,没什么区别。双击,ZYNQ7ProcessingSystem下面的就和设计一中有一些不一样了:选择PS-PLConfiguration,EnableM

zynq中断学习

Zynq的PS是基于ARM架构,使用两个ARMCortexA9处理器和GICpl390中断控制器。系统中断框图如下:InterruptController(中断控制器)框图如下:中断详细分为SGI(SoftwareGeneratedInterrupts)软件中断,PPI(PrivatePeripheralInterrupts)私有外设中断,SPI(SharedPeri

zedboard的GPIO实验

开发环境:xps14.6+sdk14.6上次博客说了GPIO的使用,这次就来实践一下。本实验使用MIO7(zedboard板上的LD9),两位EMIO,两位axigpio的IP核,分别接到LED上,点亮LED,主要练习GPIO的使用方法。一:硬件配置1.启动xps14.6,创建工

zynq正确的使用GPIO

在zynq的开发中,有两种GPIO,一种是zynq自带的外设(MIO/EMIO),存在于PS中,第二种是PL中加入的AXI_GPIOIP核。参考链接:http://www.edw.com.cn/167不过,个人觉得上面有错误的地方,就是MIO的头文件怎么回事xgpio.h呢,看bsp中的xgpio.h的说明,它就是为AXI_G