QuietE

XILINX学习之ISE下载方法

在ISE中,有专门的下载工具IMPACT.可以在ise浏览器中直接打开IMPACT工具:注意,这里要选择等成文件,然后才能找到configtargetdevice选项。当然,也可以Tools->iMPACT直接打开iMPACT打开的IMPACT如图:,在左侧浏览框中选择BoundaryScan右键,选择初始化或是

AlteraDE2试用之串口篇

串口算是我们认识硬件最早接触的接口了,这里我们指的是异步串行UART接口。一般mcu都会有这个uart资源。像stc的单片机是通过这个接口来下载程序,arm的芯片一般也支持串口下载。而通过FPGA实现uart通信只需要任意两个IO即可。当然,这里指的是三线接法,也即TX\RX\GND三

AlteraDE2试用之电源篇

了解一个系统,先要了解他的电源。这回我们就来看看DE2的电源方案。先来张电源部分原理图:这个是整体方案,9V电源输入,转换出板上需要的5V,3.3V,1.8V,1.2V。其中,5V给vga部分的DA芯片及usbblaster部分供电,1.8V为TV解码芯片供电,1.2V为FPGA内核供电,其余部分有

如何知道一段代码中使用多少个寄存器

群里咩咩问了一个问题一段代码用了多少个寄存器怎么判断在编写verilog代码时,随手定义一个寄存器变量,从来没想过这会占用多少寄存器资源。所以我的第一想法就是去看报告fitter下有个资源使用情况的报告。下面是一段三八译码器的verilog代码moduledecoder(//globalcloc

就在现在吧

一直没有记录的习惯。。。总是丢三落四。。。是该静下来好好想想了。。。