snifer

【原创】博主和你一起学习-----创建基于Vivado的HDL设计文件

又是周末了,天气很不错,被文章压得喘不过气来,转换一下思路,写写关于Vivado的HDL设计文件的创建问题。创建HDL文件的目的是为下一步的设计创建环境,主要分成这样四步:1、在源文件窗口,右击上面的子系统设计窗口,并且选择创建输出产品,如下图所示,这将会产生在