zzuxzt

在Quartus II中调用Modelsim联合仿真

学习FPGA,在编写RTL代码后,往往需要验证自己的代码是否有问题,这时就需要进行仿真调试。一个很好的仿真软件就是Modelsim,下面就讲解一下在quartusII里联合调用modelsim进行仿真的步骤,以供自己在忘了的时候查询和供他人参阅。