特权同学

以太网,FPGA就一定能搞定之TCP客户端模式传输实例—下

以太网,FPGA就一定能搞定之TCP客户端模式传输实例—下以太网,FPGA就一定能搞定系列之UDP传输实例本系列博文节选自特权同学的FPGA开发电子书《SF-CY3FPGA套件开发指南》。最新设计文档下载地址:http://pan.baidu.com/s/1em79m6板级调试

【技术分享】以太网,FPGA就一定能搞定系列之UDP传输实例

以太网,FPGA就一定能搞定系列之UDP传输实例本系列博文节选自特权同学的FPGA开发电子书《SF-CY3FPGA套件开发指南》。最新设计文档下载地址:http://pan.baidu.com/s/1em79m1概述本实例的硬件系统和前面两

以太网,FPGA就一定能搞定系列之IPRAW模式通信

以太网,FPGA就一定能搞定系列之IPRAW模式通信本系列博文节选自特权同学的FPGA开发电子书《SF-CY3FPGA套件开发指南》。最新设计文档下载地址:http://pan.baidu.com/s/1em79m1概述本实例的硬件系统和上一

【技术分享】以太网,FPGA就一定能搞定系列之MACRAW模式下的ARP请求

以太网,FPGA就一定能搞定系列之MACRAW模式下的ARP请求本系列博文节选自特权同学的FPGA开发电子书《SF-CY3FPGA套件开发指南》。最新设计文档下载地址:http://pan.baidu.com/s/1em79m1概述如图所示,这是本实例

【技术分享】以太网,FPGA就一定能搞定系列之芯片测试

以太网,FPGA就一定能搞定系列之芯片测试本系列博文节选自特权同学的FPGA开发电子书《SF-CY3FPGA套件开发指南》。最新设计文档下载地址:http://pan.baidu.com/s/1em79m1概述CH395原厂提供了一个简单的基于PC的串口调试工具DEBUG395。因此我们

基于DMA组件的SD卡数码相框

基于DMA组件的SD卡数码相框本实例发布于《SF-CY3FPGA套件开发指南Ver6.15(by特权同学).pdf》中,下载地址:http://pan.baidu.com/share/link?shareid=1370802231&uk=218459705110.12.1功能概述&nbsp

GIO内部下拉带来的问题

GIO内部下拉带来的问题问题和测试在某产品中,一颗CMOSsensor的复位信号CMOS_RST和休眠信号CMOS_STB分别使用了1.5K电阻上拉,并且也分别连接到了CPU(TI的DM355)的两个GPIO上。由于发现了sensor的CMOS_RST和CMOS_STB

BJ-EPM CPLD入门套件VHDL例程5

--Filename﹕MUX16.vhd--Author﹕wuhouhang--Description﹕16位无符号数的乘法运算libraryIEEE;useIEEE.std_logic_1164.all;useIEEE.std_logic_arith.all;useIEEE.std_logic_unsigned.all;entityMUX16isport(&nb

BJ-EPM CPLD入门套件VHDL例程4

--Filename﹕LED_SEG7.vhd--Author﹕wuhouhang--Description﹕2位数码管每隔640ms从0-F循环递增显示libraryIEEE;useIEEE.std_logic_1164.all;useIEEE.std_logic_arith.all;useIEEE.std_logic_unsigned.all;entityLED_S

BJ-EPM CPLD入门套件VHDL例程3

--Filename﹕SW_DEBOUNCE.vhd--Author﹕wuhouhang--Description﹕三个独立按键控制四个LED流水灯工作/停止或者左移/右移libraryIEEE;useIEEE.std_logic_1164.all;useIEEE.std_logic_arith.all;useIEEE.std_logic_unsig

BJ-EPM CPLD入门套件VHDL例程2

BJ-EPM套件:http://item.taobao.com/item.htm?id=6733842901&--Filename﹕SW_DEBOUNCE.vhd--Author﹕wuhouhang--Description﹕三个独立按键控制LED灯亮灭libraryIEEE;useIEEE.std_logic_1164.all;use

BJ-EPM CPLD入门套件VHDL例程1

BJ-EPM套件:http://item.taobao.com/item.htm?id=6733842901&--Filename﹕CLKDIV.vhd--Author﹕wuhouhang--Description﹕分频计数器,50MHz时钟做分频后的50%占空比方波驱动蜂鸣器发声--参考网址:ht

7寸视频&串口显示模组调试技巧之2——视频缩放

7寸视频&串口显示模组调试技巧之2——视频缩放题记:历经一年,终于完成了视频&串口模组的开发和研制,目前已经开始向部分客户小批量供货了。从最原始的5.7寸640*480的视频采集模组,到5.7寸640*480

7寸视频&串口显示模组调试技巧之1——层切换效果

7寸视频&串口显示模组调试技巧之1——层切换效果题记:历经一年,终于完成了视频&串口模组的开发和研制,目前已经开始向部分客户小批量供货了。从最原始的5.7寸640*480的视频采集模组,到5.7寸640*4

7寸视频&串口显示模组开发指南之5——集成开发工具

7寸视频&串口显示模组开发指南之5——集成开发工具题记:历经一年,终于完成了视频&串口模组的开发和研制,目前已经开始向部分客户小批量供货了。从最原始的5.7寸640*480的视频采集模组,到5.7寸640