特权同学

BJ-EPM CPLD入门套件VHDL例程5

--Filename﹕MUX16.vhd--Author﹕wuhouhang--Description﹕16位无符号数的乘法运算libraryIEEE;useIEEE.std_logic_1164.all;useIEEE.std_logic_arith.all;useIEEE.std_logic_unsigned.all;entityMUX16isport(&nb

BJ-EPM CPLD入门套件VHDL例程4

--Filename﹕LED_SEG7.vhd--Author﹕wuhouhang--Description﹕2位数码管每隔640ms从0-F循环递增显示libraryIEEE;useIEEE.std_logic_1164.all;useIEEE.std_logic_arith.all;useIEEE.std_logic_unsigned.all;entityLED_S

BJ-EPM CPLD入门套件VHDL例程1

BJ-EPM套件:http://item.taobao.com/item.htm?id=6733842901&--Filename﹕CLKDIV.vhd--Author﹕wuhouhang--Description﹕分频计数器,50MHz时钟做分频后的50%占空比方波驱动蜂鸣器发声--参考网址:ht