宋桓公

【红色飓风Nano二代测评】插补绘图之钢炼纹章

上次通过按键画一些横平竖直的线,感觉不太爽。这次想通过插补(而不是按键)画一些有趣的图形。画些什么呢,我的思绪一下飘到了大学时代,在那个暑假,白天和大家在实验室备战电子竞赛,晚上就会回到寝室和达子一起看钢炼,回忆起那段时间,真是充实而美好。好吧,这次

【红色飓风Nano二代测评】教你如何编写带FIFO的LCD接口

桓公出品,转载请注明出处http://blog.chinaaet.com/songhuangong之前写的一些博客,为这篇博客的诞生,打下了基础。这篇文章,主要贴出完整的代码。所以想详细的了解所有的步骤,可能需要复习下我之前的博客。【技术分享】Verilog打造TFT接口1介绍了LCD驱动芯片

【红色飓风Nano二代测评】私人定制——为Nano2打造显示平台

要搭建一个数控平台,但是没有显示部分是不行的。Nano2有专门配套的LCD模块,链接如下:http://www.fpgadev.com/shop_view.asp?id=65但是作为穷苦吊丝的我看不懂他价格,不明白为虾米LCD模块竟然跟Nano2开发板的价格相差无几,所以我决定

【红色飓风Nano二代测评】Verilog实现直线插补

既然要用Nano2打造一个数控平台,那么插补就是必不可少的,那么我们就从“插补”开始。首先,任意一条直线都是X和Y分量组成的,而我们的X,Y分量是有两个步进电机控制的。如上图所示,原点O和点A构成直线。想象一下,现在我们的XY平台已经搭建好了。平台的控制端

【红色飓风Nano二代测评】LED测试 + ISim仿真

首先,我想实现一个从左往右的流水灯,并且一直往复下去。上代码:moduleled(inputCLK,inputRSTn,outputreg[7:0]LED);reg[19:0]C0;always@(posedgeCLKornegedgeRSTn)if(!RSTn)beginLED<=8'b0000_0001;C0<=20'h0;endelsebeginif(C0==20'hfffff)beginC0

【红色飓风Nano二代测评】开启xilinx之旅

玩Altera也快两年了,对于Xilinx一直都在远处观望。话说AET的动作真的很大,入住半年真的是受益非浅。这次,让一直在远处观望的我,踏上了Xilinx之路。很快我买了一个Xilinx下载器,和一本名叫《XilinxFPGA开发实用教程》,下载ISE,为板子的到