xiaobaibai

parameter与localparam的区别

Verilog代码可移植性设计1.参数定义localparam,实例代码如下:module tm1( clk,rst_n, pout );input clk;input rs...