xzy610030

一起探讨,一起进步,一起分享!

vivado设计三:一步一步生成自己的自定义IP核

开发环境:xpvivado2013.4基于AXI-Lite的用户自定义IP核设计这里以用户自定义led_ip为例:1.建立工程和设计一过程一样,见vivado设计一http://blog.chinaaet.com/detail/35736:这样我们就进入了主界面2.创建IPTools–》CreateandPackageIP来到IP创建欢迎界面:Ne