cuter

Vivado设计实战——音乐的节奏(概述)

Vivado设计实战——音乐的节奏(概述) 关于Vivado HLS的基本使用,已经算是入门了,接下来打算做一个利用HLS实现硬件加速。主题定为音频信号分析,目前完成了频谱的计算和显示,和最终想要的结果还有一段距离,只能说是半成品吧。 1...

【原创】自己设计简单算法,进一步熟悉HLS

设计简单算法,Vivado HLS初体验后续 1、前言: 《Vivado HLS初体验》一文中,使用别人设计的yuv_filter demo文件新建工程,添加了文件,基本掌握了新建工程和算法仿真的流程,由于没有完全按照现有的教程进行学习,所...

【原创】Vivado HLS初体验

Vivado HLS初体验 1、前言 该笔记主要是Vivado HLS开发流程的学习心得,掌握HLS开发的全流程:从工程创建到算法仿真到综合再到设计实现,由于截图较多,暂时只写到前两部分。 2、目标 1)熟悉Vivado HLS GUI的...

【原创】Vivado设计实战 ——等精度频率计(完结篇)

Vivado设计实战 ——等精度频率计(设计篇) 硬件平台:ZedBoard 开发工具:Vivado 2014.1 1、PS寄存器功能划分 reg0:控制寄存器0(offset:0x00) Bit 功能 ...

【原创】Vivado Tcl你用过吗? ——Tcl实现GUI个性化

Vivado Tcl你用过吗? ——Tcl实现GUI个性化 摘要:本文是采用Tcl脚本,利用Vivado提供的GUI定制接口,将自主命令按钮添加至Vivado工具栏,一键搞定zynq ps内核的添加。 1、引言 从之前对Vivado...

【原创】Vivado设计实战 ——等精度频率计(原理篇)

Vivado设计实战 ——等精度频率计(原理篇) 本篇主要是理论分析,以数字电路的知识为主,涉及一点简单的数学,小白文,大神们请×掉本网页,一笑而过。 1 引 言 传统的数字频率测量方法有脉冲计数法和周期测频法,但这两种方法分别适合测量...

Vivado设计实战 ——等精度频率计(概述篇)

Vivado设计实战 ——等精度频率计(概述篇) 受台风影响,昨晚开始狂风大作,夜里时不时下雨,睡得不是太好,早上又早早被外面的各种噪音吵醒了,干躺着睡不着,干脆起来写点东西,把接下来要做的小项目规划一下。 关于Vivado的基本使用...

基于Vivado的嵌入式开发 ——PS+PL实践

基于Vivado的嵌入式开发——PS走起硬件平台:ZedBoard开发工具:Vivado 2014.21、规划废话不多说,依然是流水灯,这次是采用PS+PL实现。功能依旧简单,目标是为了学习IP核的添加方式、熟悉嵌入式系统设计界面、熟悉Vi...

Vivado轻松实现IP封装

Vivado轻松实现IP封装 1、新建一个测试工程 工程化的设计方法是离不开工程的,第一步往往都是新建工程,后面我会学习去工程化的开发方法,可能会更加高效。 2、利用向导完成IP封装 2.1、启动IP向导 方法为:ToolsàCreate...

Vivado实现纯逻辑开发——从最简单的开始

Vivado实现纯逻辑开发 ——从最简单的开始 硬件平台:ZedBoard 开发工具:Vivado 2014.2 1. 前言: 1.1. 关于软件的安装 本来不想提这一环节的,因为去官网下载安装包,安装,到...