mdykj33

明德扬至简设计法---分享一份实现矩阵键盘的verilog代码 可直接使用

`define SCANmodule key_scan( clk , rst_n , key_col, //键盘列输入 ...