米客-显示驱动专家

FPGA-1602

1602基本液晶显示屏,学会对1602操作,编程能力会更进一步的,下面我将自己的代码放在下面,仅供参考。libraryieee;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;useieee.std_logic_arith.all;entitylcd1602isport(clk:instd_logic;rs,rw,en:outstd_logi

数字频率计-纯硬件电路

数字频率计根据题目要求,数字频率计利用频率测量的定义,在确定的闸门时间内,利用计数器记录待测信号通过整形后的脉冲数,从而计算出待测信号的频率。本系统由秒脉冲发生电路产生电路,控制电路,计数器,译码器,显示电路等组成。秒信号产生电路是整个数字频率计系统

等精度频率计的实现

等精度频率计的实现频率测量在电子设计和测量领域中经常用到,因此对频率测量方法的研究在实际工程应用中具有重要意义。常用的频率测量方法有两种:频率测量法和周期测量法。频率测量法是在时间t内对被测信号的脉冲数N进行计数,然后求出单位时

AD采集与控制系统总结

模拟量经AD采集后转换为数字量显示,并通过数码管等显示,控制部分通过判断数字量代表的十进制大小分别做出相应处理,控制其他动作。FPGA程序及设计总结附件:10321996319262.doc

sram程序

LibraryIEEE;UseIEEE.Std_logic_1164.all;USeIEEE.Std_logic_unsigned.all;ENTITYsramISGENERIC(k:integer:=8;--8位数据宽度w:integer:=4--4位宽度地址,共16个地址);PORT(rd,wr,cs:INSTD_LOGIC;--定义写,读,片选控制信号adr:INSTD_LOGIC_VECTOR(w-1DOWNTO0);--4位地

FPGA_4电子时钟

把数码管玩了一下,想做个电子时钟,正在调试阶段以调试出来了,现已经测试完毕,相关代码如下libraryieee;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;useieee.std_logic_arith.all;entityshizhongisport(clk:instd_logic;beer:outbit;&nb

FPGA--3数码管显示0-F

数码管显示0-F。理解数码管显示原理通过分频计数,bt作为位选信号,se段选信号,经分频后对cot计数,实现0-F的显示,本实验选最后一位。libraryieee;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;useieee.std_logic_arith.all;entityxianshi