smart kids

【技术分享】verilog实现快速乘法器

1.串行乘法器两个N位二进制数x、y的乘积用简单的方法计算就是利用移位操作来实现。modulemulti_CX(clk,x,y,result);inputclk;input[7:0]x,y;output[15:0]result;reg[15:0]result;parameters0=0,s1=1,s2=2;reg[2:0]count=0;reg[1:0]state=0;reg[15:0]P,T;reg[7:0]y_reg;a