Augus

你好,FPGA之出租车计费器的设计

出租车计费器 设计要求: 1. 能实现计费功能,计费标准为:按行驶里程收费,起步费为10.00元, 并在车行3公里后再按2元/公里,当计费器计费达到或超过一定收费(如20元)时, 每公里加收50%的车费,车停止不计...