moyiyoudao

有关嵌入式、linux系统、FPGA、C语言等技术类博客,博客内容完全转载自我CSDN博客,博客名:魔亦有道

verilog HDL可综合语句总结

(1)所有综合工具都支持的结构:always,assign,begin,end,case,wire,tri,aupply0,supply1,reg,integer,default,for,function,and,nand,or,nor,x...

FPGA常见错误汇总

1. Warning: VHDL Process Statement warning at random.vhd(18): signal reset is in statement, but is not in sensitivity li...

vivado仿真设计流程

1. 建立工程2. 添加源文件,运用verilog HDL描述电路3. 综合,产生网表,直观的门级电路描述4.仿真 需要编写激励源一般模式:添加一个.v文件,编写模式module test_top;/*输入定义为reg类型,因为要在i...

开关系统

n沟道场效应管(nFET),源极要默认接地的,当栅极vgate接高电平,Vgs=vdd;源极和漏极导通,Vd=0;当栅极vgate接低电平,Vgs=0;源极和漏极截至P沟道场效应管(PFET),源极默认接vdd,当栅极vgate接低电平,V...

深入理解指针、数组

指针的本质是一个变量,也需要占用一定的空间一般为四个字节不论其指向的类型。指针用来保存内存地址的值。通过指针我们能做到不通过变量来改变变量的值。 *号的意义: 在指针声明时,代表声明的变量为指针变量。int i=10;int * p=&i;...

组合逻辑电路回顾

组合逻辑电路有以下几类:多路器、加法器、缓冲器、逻辑开关、总线、逻辑运算等 这些电路不能储存之前的状态,也就是没有记忆功能,变化也不依靠时钟的到来,这种电路就叫组合逻辑电路。分析组合逻辑电路的一般步骤是:逻辑图——》最简函数表达式——》真值...

vivado设计流程

vivado 是xilinx公司出的一款新型开发工具,与之前的ISE设计流程的区别就是它采用统一的数据模型。vivado设计流程分为三部分,输入、综合、实现输入可以为verilog /VHDL 的 .v文件和 .vhd 文件。 综合 用到第...

centos下安装arm-linux-gdb缺少termcap库的问题

安装arm-linux-gdb是出现error:no found termcap……. 1.首先网上下载termcap源码包,下载后保存在/etc下 2.进入termcap文件夹,看其readme文件,它会让你再看install文件 3. ...

四、使用SDk对ZYNQ调试

上一节把生成的Bitstream导入到SDk后,单击启动SDK。打开后如图将PC与Zedboard相连,接成调试模式,选择Xilinx Tools -> Program FPGA 命令,将比特文件下载到Zedboard。 在工程管理面板右键...

三、vivado硬件调试

复制前一节创建的vivado工程,到一个新的文件夹下,文件夹取名为vivado_debug. 打开工程,展开IP Integrator,右键Open Block Design,打开 .bd文件。选择 axi_gpio_0_GPIO 接口,右...

二、Zedboard学习之SDK程序编写

接着上一节《vivado中建立工程,创建zynq嵌入式系统》已经配置好硬件环境,为AXI GPIO 分配了地址空间,接着我们编写程序点亮LED灯。 上节输出bit流给SDk后,我们来点击启动SDK:launch SDK 执行File->Ne...

一、vivado中建立工程,创建zynq嵌入式系统

打开vivado,点击create New Project, 下边的建立子目录工程一定要勾选。点击下一步: 选择第一个,下方可选项不要勾选。点击下一步: 选择verilog语言,不添加文件,然后一直点击下一步:到了选板子的步骤,直接点击bo...

xilinx-arm-linux交叉编译链 安装总结

首先,说明为什么要装xilinx-arm-linux编译链,我使用的是Xilinx的Zedboard开发。在Zynq上运行Linux后,如果还要对PS操作,有两个办法:(1)、在Windows系统上面,使用SDK新建C Project SD...

写给所有IT人

转载自CSDN第3名的博主 http://blog.csdn.net/phphot/article/details/2187505成功的背后,有着许多不为人知的故事,而正是这些夹杂着泪水和汗水的过去,才成就了一个个走向成功的普通人。  凌晨...

Zedboard学习之纯PL控制

在Zedboard上单纯使用PL其实和FPGA开发流程一样,只不过是在vivado上。打开vivado14.2 新建一个工程 ,前两步的复选项都要选上,点击完成。 单击添加文件,命名为test.v ,用verilog语言编写下列代码:目的是...