吴明

FPGA设计思维定式(内有Altera VDMA 代码链接)

看了很多,不管是书籍或是资料,现在的FPGA,verilog讲的东西都严重同质化,所以我写这篇博客的目的只是想表达一下,对于FPGA设计还是存在”十分另类“的看法 这回要拿实实在在的工程代码来说事。本人水平有限凑合着看就好。 ...

Verilog仲裁器,”极简主义“,看看我需要多少行代码

用途:仲裁器,优先级仲裁,非轮询仲裁,用于多路选择仲裁,DDR,AXI,AVALON这些,addr地址自动跳跃到下一个empty的通道。1、可综合2、自定义路数,数量无边界3、几乎没人敢这么写......