最新博文

0
推荐
1435
阅读

勇敢的芯伴你玩转Altera FPGA连载88:FPGA片内片内FIFO实例

勇敢的芯伴你玩转Altera FPGA连载88:FPGA片内片内FIFO实例特权同学,版权所有配套例程和更多资料下载链接:http://pan.baidu.com/s/1i5LMUUD 功能概述 该工程实例内部系统功能框图如...
0
推荐
1401
阅读

勇敢的芯伴你玩转Altera FPGA连载87:FPGA片内RAM实例之FPGA在线调试

勇敢的芯伴你玩转Altera FPGA连载87:FPGA片内RAM实例之FPGA在线调试特权同学,版权所有配套例程和更多资料下载链接:http://pan.baidu.com/s/1i5LMUUD 连接好下载线,给CY4开...
0
推荐
1556
阅读

1.2 Low latency(低延时)-1.3Timing(时序)

一 延时对系统频率的关系 FPGA或者说时序电路设计中,主要限制时序速度提高的因素便是延时。这里的延时指的是两个边沿触发器之间的信号传输延时或者说为了完成信号传输所需要的时间。所以需要的传输时间越长,那么这部分的时钟信号也就越慢。系统...
0
推荐
1576
阅读

第一章 速度设计 1.1 High Throughput(高吞吐量)

一 章节思维导图本章节主要是对FPGA 设计过程中,衡量系统速度的三个方面来进行的讲解。这三个方面主要是系统的吞吐量,延迟,以及基本时序。本章节着重讲了时序设计过程中,优化系统的几种方式,下图1是章节思维导图。 图1 章节思维导图
1
推荐
1025
阅读

读书笔记-前言

我在断断续续自学FPGA几年之后,找到一本讲FPGA 结构设计等相关书籍,觉得很喜欢。最近开始看《Advanced FPGA Design Architecture, Implementation,and Optimization》 这本书...
0
推荐
2528
阅读

超小型CPU设计(Tiny CPU)完成

承接《超小型CPU设计(Tiny CPU)刍思》,大概一个月前我已经完成TPU设计,并用于实际工程,比我预计的时间要快很多。按套路最终的设计和原版的想法有一般的出入。---------------------------------...
0
推荐
7786
阅读

【笔记】Excel辅助Modelsim调试记录

最近这两天在用Modelsim进行代码仿真,目标是对数字信号处理算法进行优化
0
推荐
1273
阅读

基于PXI的传统视频显示器测试解决方案

摘要Autotestcon 2010的主旨“45年的支持创新——以光速前进”,这个主旨对军用ATE系统是非常有意义的,因为它强调努力保持二分法的最先进的测试能力,同时需要支持传统的老技术 – 可能是几十年,像Autotestcon本身一样长...
0
推荐
1739
阅读

勇敢的芯伴你玩转Altera FPGA连载85:FPGA片内RAM实例之RAM配置

勇敢的芯伴你玩转Altera FPGA连载85:FPGA片内RAM实例之RAM配置特权同学,版权所有配套例程和更多资料下载链接:http://pan.baidu.com/s/1i5LMUUD 在RAM的第一个配置页面中(...
0
推荐
2343
阅读

太久没来了,曾几何时....

太久没来了,曾几何时,发现看人的博客,也是一种享受
1
推荐
6297
阅读

Lattice FPGA 7:1 LVDS 接口

包括多个数据位和时钟的源同步接口已经成为电子系统中移动图像数据的常用方法。一个通用的标准是7:1 LVDS接口(用于通道连接,扁平电缆连接和摄像机连接),这已成为许多电子产品,包括消费电子设备、工业控制、医疗,汽车远程信息处理中的通用标准。如Sony的ECX337 OLED采用的就是7:1 LVDS的接口。7:1 LVDS信号示意图如下:
0
推荐
1492
阅读

勇敢的芯伴你玩转Altera FPGA连载83:FPGA片内RAM实例之功能概述

勇敢的芯伴你玩转Altera FPGA连载83:FPGA片内RAM实例之功能概述特权同学,版权所有配套例程和更多资料下载链接:http://pan.baidu.com/s/1i5LMUUD 该工程实例内部系统功能框图如图...
0
推荐
1397
阅读

勇敢的芯伴你玩转Altera FPGA连载82:FPGA片内ROM实例之功能仿真与在线调试

勇敢的芯伴你玩转Altera FPGA连载82:FPGA片内ROM实例之功能仿真与在线调试 特权同学,版权所有 配套例程和更多资料下载链接: http://pan.baidu.com/s/1i5LMUUD Quartus II工程中,点击菜单“Tools  Run Simulation Tool  RTL Simulation”进行仿真。当然了,在这之前,这个工程的仿真测试脚本以及在Qu
0
推荐
1056
阅读

开题——FPGA时序分析从零开始

世事总是难料,本来打算更新博客的,但零时遇到了搬家,这几天应该是没有时间再更新了。
0
推荐
4034
阅读

xilinx block原理图模式注意三态门设计

我接触到zynq,就爱上了vivado的block原理图开发,但是这种原理图也是相当的恶心,一个问题很多参数不公开的,很多官方的IP 使用让你爽死,但是你自己定义就傻逼了。除非你有能力修改xml语言,修改参数。 比如说到INO...