Felix

技术源于积累,成功始于执着! 个人邮箱:justlxy@mail.dhu.edu.cn QQ:1576109464

关于桶形移位寄存器的讨论

桶形移位寄存器即循环移位寄存器,在浮点加减运算、压缩/解压缩和图像处理算法中有应用,所以稍微说明下: 例如设计一个8位桶形移位器:8位桶形移位器是有8个数据输入位,8个数据输出位和3个控制输入位的组合逻辑电路,其输出字等于输入字的循环移位,循环移位的次数由控制输入位指定。比如,如果输入字等于ABCDEFGH(每个字母表示一位),且输入控制位为101(5),则输出字为FGHABCDE

Verilog-2001 之 generate 语句的用法

Verilog-1995 支持通过以声明实例数组的形式对 primitive 和 module 进行复制结构建模。而在 Verilog-2001 里, 新增加的 generate 语句拓展了这种用法(其思想来源于 VHDL 语言)。除了允许...