最新博文

1
推荐
8466
阅读

Vivado赛季心得与感受

Vivado赛季心得与感受 第一部分:把这一阶段所有博文分类整理一下,顺便谈一些自己的感受。 1、首先是基础 正所谓:合抱之木,生于毫末;九层之台,起于累土,想要用好一款开发工具,必须掌握各种常用的基本操作、流程。打好了基础,后面就会走得轻...
0
推荐
11501
阅读

如何利用Vivado SDK建立自己的模板工程

如何利用Vivado SDK建立自己的模板工程 在使用SDK创建工程时,选择合适的模板可以加快测试和开发进度。打个比方说,对于ZYNQ的串口打印测试,我们只需要选择Hello World模板,创建工程,不需要添加任何代码就可以完成测试。对于...
0
推荐
10151
阅读

Vivado Logic Analyzer的VIO

本文基于Vivado2014.2ChipScope有两种使用的方式:cdc和IPCore。由于VIO的IP只能通过IP的方式来使用,所以IP的方式ChipScope中有重要的意义。同样,Vivado中的VIO也只能通过IP的方式来使用。本文使用Digilent的Nexys-4板卡来探讨VIO的使用。关于Nexys-4的信息,请参考ht
1
推荐
5450
阅读

Vivado Logic Analyzer Advanced

本文基于Vivado2014.2。阅读前请参考博文。http://blog.chinaaet.com/detail/37239http://blog.chinaaet.com/detail/37242http://blog.chinaaet.com/detail/37264http://blog.chinaaet.com/detail/37269通过前文对ChipScope和VivadoLogicAnalyzer的对比,可以发现ChipSc
0
推荐
22182
阅读

『vivado』自制IP核全过程 + SDK注意事项

硬件平台:ZedBoard软件平台:vivado2014.2首先新建一个工程之后,出现如下界面~~nextnext,创建一个AXI4总线的IP:至此,一个AXI4总线的模型的框架就建好了,不过既然是自制,当然是需要加入自己东西咯~~不急,继续,新建一个Block,用来放置IP核们,GO!GO!GO!
1
推荐
5124
阅读

[原创]Vivado高效设计案例之基于C++的快速傅里叶变换

基于Xilinx的快速傅里叶变换IP块能够通过C++使用hls_fft.h库进行调用,这种IP在Xilinx文档中称为:LogiCORE™IP快速傅里叶变换v9.0(PG109).今天我主要讲一下如何通过C++代码来进行配置。注:这对你理解IP的众多特性具有非常重要的作用。要在你的C++代码中使用傅里叶变换
1
推荐
27951
阅读

Vivado HLS何去何从?——近日的一些尝试

Vivado HLS何去何从? ——近日的一些尝试 如何更好的利用vivado hls是我近期一直在思考的问题。是不是一般的c程序都能很好的转换为IP核?应用的限制在哪里?hls更适合用来做什么? 带着这些疑问,做了...
0
推荐
5894
阅读

Vivado HLS图像拼接系统原理及实现

从工业检测系统到自动驾驶系统,计算机视觉是一个包括许多有趣应用的广泛领域。许多这样的系统在原型和实现阶段都要用到开源计算机视觉(OpenSourceComputerVisionLibrary,OpenCV)。OpenCV优化了许多功能函数,并在实时的计算机视觉程序中得到应用。但是,由于嵌入式优
0
推荐
14021
阅读

在Vivado下进行功耗估计和优化——高亚军

作者:高亚军资源、速度和功耗是FPGA设计中的三大关键因素。随着工艺水平的发展和系统性能的提升,低功耗成为一些产品的目标之一。功耗也随之受到越来越多的系统工程师和FPGA工程师的关注。Xilinx新一代开发工具Vivado针对功耗方面有一套完备的方法和策略,本文将介绍如
0
推荐
5760
阅读

[原创]基于Vivado的C程序设计原理

最近利用Vivado进行了几个C程序的设计,取得一点小小心得,与大家分享。众所周知,C程序一个重要内容就是main(),main()函数下面的程序都会被合成分析,在Vivado中被合成的程序称为顶级程序或者设计文件,任意在其中函数称为测试台,测试台用于确定被合成的顶级程序
0
推荐
11294
阅读

在Vivado下利用Tcl编辑综合后的网表——高亚军

作者:高亚军在ISE下,对综合后的网表进行编辑几乎是不可能的事情,但在Vivado下成为可能。Vivado对Tcl的支持,使得Tcl脚本在FPGA设计中有了用武之地。本文通过一个实例演示如何在Vivado下利用Tcl脚本对综合后的网表进行编辑。Vivado支持传统的Tcl命令,在此基础上添加
0
推荐
19076
阅读

在Vivado下利用Tcl实现IP的高效管理

作者:高亚军在Vivado下,有两种方式管理IP。一种是创建FPGA工程之后,在当前工程中选中IPCatalog,生成所需IP,这时相应的IP会被自动添加到当前工程中;另一种是利用ManageIP,创建独立的IP工程,缺省情况下,IP工程的名字为magaged_ip_projec
0
推荐
21041
阅读

vivado设计三:一步一步生成自己的自定义IP核

开发环境:xpvivado2013.4基于AXI-Lite的用户自定义IP核设计这里以用户自定义led_ip为例:1.建立工程和设计一过程一样,见vivado设计一http://blog.chinaaet.com/detail/35736:这样我们就进入了主界面2.创建IPTools–》CreateandPackageIP来到IP创建欢迎界面:Ne
0
推荐
29436
阅读

[vivado]SDK那些事儿——初试

前言:笔者在cuter的一步步指导之下,完成的。虽然字是笔者自己码的。但是基本每一个步骤都是在cuter的帮助下完成的。所以如果,您读了这篇文章,觉得有帮助。那么请将票投给cuter。http://blog.chinaaet.com/detail/3
0
推荐
19014
阅读

Vivado下创建基于AXI-Lite的用户IP核

Vivado下创建基于AXI-Lite的用户IP核本文是为一位网友所写,据说网上Vivado下自定义IP核的资料很难找~本文主要讲述了在Vivado下创建AXI-Lite型IP核的流程。最近问IP问题的人略多……Vivado为设计人员提供了很多IP核,但不可能完全满足我们的所有设计需求,在设计过程中