最新博文

0
推荐
9281
阅读

[原创]博主和你一起学习-----基于Vivado的外设ZYNQ7 IP设置

前一讲介绍了主机ZYNQ7的IP设计,实际使用中还要对外进行IP设置,下面讲如何对外设的IP进行设置。1、双击存储器块IP,或者选择自适应快:2、在基本对话框,设置:MODE为BRAMControllerMemoryType为TrueDualPortRAM,点击OK3、链接块存储器发送
0
推荐
4275
阅读

[原创]博主和你一起学习-----基于Vivado进行ZYNQ7 IP设置

基于Vivado进行设计时,经常会遇到IP设计的问题,今天就与大家一起分享这个问题,希望能对大家有所帮助。创建完新的工程后,就需要进行IP的设置,今天要讲的是ZYNQ7的IP设计,具体如下:1、在导航器设计框,选择创建块设计2、在创建块设计输入子系统的名称3、直接点击Vi
0
推荐
8766
阅读

[原创]博主和你一起学习-----基于Vivado创建Zynq-­‐7000全接触

最近成都的天气非常好,适合运动,生命不息,运动不止。今天博主就跟大家一起分享基于Vivado创建Zynq-­‐7000的全过程,希望大家能够喜欢。本次学习只要利用Vivado创建一个嵌入式软件,并利用串口输出“helloworld”。第一步:打开SDK创建工程。1、按照顺序打开Start&gt
0
推荐
4348
阅读

vivado环境下开发ZYNQ7000 教程 2 (摘自网易资料)

vivado环境下开发ZYNQ7000教程22014-02-2014:24:30|分类:ZYNQ相关|举报|字号订阅这两本书怎么说呢,我觉得第二本更像是官方文档的堆砌吧(不喜勿喷),洋洋洒洒近600页,真正我想看的内容却少之又少。第一本书更适合入门(其实相
0
推荐
31975
阅读

[原创]博主和你一起学习----Xilinx ISE/Vivado全系列安装说明

最近很多新朋友跟我讨论Vivado的使用情况,也算是一个新方向吧,总体来说讨论最多的还是Vivado的安装问题,今天我在这就把这个问题一并与大家解答,别忘了投票哦~~~~1、Vivado的下载Vivado有3个下载位置分别是:a、Xilinx的安装版地址http://www.ese.wustl.edu/~ed/Xili
1
推荐
21246
阅读

【原创】用数据来说明,Vivado的效率提高到底有多少?-Vivado高效设计案例分享博客大赛

自从去年10月Xilinx发布ISE14.7之后,ISE套件便暂时没有了更新计划,相当于进入了软件生命中的“中年”;而当初在2012.x版本还作为ISE套件中的一个组件的Vivado,此时已经如早上8、9点钟的太阳一样冉冉升起:因为随着FPGA/SOC制造工艺、硬件单元规模和设计方法的不断改
1
推荐
22834
阅读

Vivado经典案例——使用Simulink设计FIR滤波器

FIR(FiniteImpulseResponse)滤波器:有限长单位冲激响应滤波器,又称为非递归型滤波器,是数字信号处理系统中最基本的元件,它可以在保证任意幅频特性的同时具有严格的线性相频特性,同时其单位抽样响应是有限长的,因而滤波器是稳定的系统。因此,FIR滤波器在通信、图
0
推荐
4153
阅读

VIVADO集成设计环境(1)

很高兴,《XilinxFPGA权威设计指南-Vivado集成设计环境》于2014年6月26日印刷完成,并于6月27日拿到了样书,该书不久将在各大网上书店陆续上市。这是一件具有里程碑的事情,这是在Xilinx停止ISE集成开发环境,将ISE定格到14.7,Xilinx决心力推其新一代开发环境的关键时
0
推荐
29241
阅读

Vivado设计二:zynq的PS访问PL中的自带IP核(基于zybo)

1.建立工程首先和Vivado设计一中一样,先建立工程(这部分就忽略了)2.createblockdesign同样,AddIP同样,也添加配置文件,这些都和设计一是一样的,没什么区别。双击,ZYNQ7ProcessingSystem下面的就和设计一中有一些不一样了:选择PS-PLConfiguration,EnableM
0
推荐
2424
阅读

Vivado让IP封装如此简单

暂定标题
0
推荐
6000
阅读

[原创]博主和你一起学习如何高效使用Vivado进行设计---第一个项目

跟我学Vivado,因为我也是初学者,大家一起进步。您可以启动Vivado设计套件和运行该工具使用不同的方法根据您的偏好。例如,您可以选择一个Tcl脚本的编风格的方法,你自己管理来源和设计过程中,也被称为Non-Project模式。或者,您可以使用一个基于项目的方法来自动管理你的
0
推荐
14211
阅读

【原创】Vivado神器之DocNav

Vivado2014安装完成以后会有2个文件出现在桌面上,具体如下图:上一个是vivado的软件,是主要的工具,但是一定不要忽略下面一个DocNav,今天我要讲的就是这个工具,打开一个会看到这样一个界面:这里面主要包括2个界面:一个是目录视图,一个是设计中心视图/左侧是一个
0
推荐
3817
阅读

【原创】利用空项目创建嵌入式系统

今天早上创建了第一个Zynq处理系统,与大家一起分享一下这个过程,原来不是很熟,第一次使用,参照了一些网友的教程,网络的魅力,无处不在。1、在对话框打开后问你是否想利用BSB导引创建一个基本的系统,在此选择NO。2、在IP对话框,选择processor-----processingsyste
0
推荐
20409
阅读

好书推荐之三:《Xilinx FPGA设计权威指南》——Vivado集成开发环境

本书由清华大学出版社出版,何宾张艳辉编著,是“Vivado高效设计案例”博客大赛的参与奖奖品之一。Xilinx大学计划推荐用书Xilinx公司Vivado设计套件高级市场营销总监RamineRoane作序国内首本系统论述Xilinx新一代集成开发环境Vivado和VivadoHLS设计流程和设计方法
0
推荐
11223
阅读

Vivado高效设计案例——Vivado+SDK实现MP3播放

本文将给出通过VivadoIDE开发Zynq平台上PS裸机应用程序的流程,读者将看到Vivado开发更高效、快捷。MP3我们都听过,现在我们可以用ZED-Board来听。板子上有音频芯片ADAU1761,可以实现录音、放音,但不具有MP3解码功能。Zynq双核ARM9做MP3软件解码应该是可以实现的,但